Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

A collaborative cpu vector offloader: Putting idle vector resources to work on commodity processors

Full metadata record
DC Field Value Language
dc.contributor.authorSon, Youngbin-
dc.contributor.authorKang, Seokwon-
dc.contributor.authorUm, Hongjun-
dc.contributor.authorLee, Seokho-
dc.contributor.authorHam, Jonghyun-
dc.contributor.authorKim, Donghyeon-
dc.contributor.authorPark, Yongjun-
dc.date.accessioned2022-07-06T11:05:19Z-
dc.date.available2022-07-06T11:05:19Z-
dc.date.created2022-01-05-
dc.date.issued2021-12-
dc.identifier.urihttps://scholarworks.bwise.kr/hanyang/handle/2021.sw.hanyang/140166-
dc.description.abstractMost modern processors contain a vector accelerator or internal vector units for the fast computation of large target workloads. However, accelerating applications using vector units is difficult because the underlying data parallelism should be uncovered explicitly using vector-specific instructions. Therefore, vector units are often underutilized or remain idle because of the challenges faced in vector code generation. To solve this underutilization problem of existing vector units, we propose the Vector Offloader for executing scalar programs, which considers the vector unit as a scalar operation unit. By using vector masking, an appropriate partition of the vector unit can be utilized to support scalar instructions. To efficiently utilize all execution units, including the vector unit, the Vector Offloader suggests running the target applications concurrently in both the central processing unit (CPU) and the decoupled vector units, by offloading some parts of the program to the vector unit. Furthermore, a profile-guided optimization technique is employed to determine the optimal offloading ratio for balancing the load between the CPU and the vector unit. We implemented the Vector Offloader on a RISC-V infrastructure with a Hwacha vector unit, and evaluated its performance using a Polybench benchmark set. Experimental results showed that the proposed technique achieved performance improvements up to 1.31× better than the simple, CPU-only execution on a field programmable gate array (FPGA)-level evaluation.-
dc.language영어-
dc.language.isoen-
dc.publisherMDPI-
dc.titleA collaborative cpu vector offloader: Putting idle vector resources to work on commodity processors-
dc.typeArticle-
dc.contributor.affiliatedAuthorPark, Yongjun-
dc.identifier.doi10.3390/electronics10232960-
dc.identifier.scopusid2-s2.0-85120079164-
dc.identifier.wosid000762154600001-
dc.identifier.bibliographicCitationELECTRONICS, v.10, no.23, pp.1 - 15-
dc.relation.isPartOfELECTRONICS-
dc.citation.titleELECTRONICS-
dc.citation.volume10-
dc.citation.number23-
dc.citation.startPage1-
dc.citation.endPage15-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.isOpenAccessY-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaPhysics-
dc.relation.journalWebOfScienceCategoryComputer Science, Information Systems-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.subject.keywordAuthorvector processors-
dc.subject.keywordAuthorjob offloading-
dc.subject.keywordAuthorresource utilization-
dc.subject.keywordAuthordata parallelism-
dc.subject.keywordAuthorheterogeneous system architectures-
dc.identifier.urlhttps://www.mdpi.com/2079-9292/10/23/2960-
Files in This Item
Appears in
Collections
서울 공과대학 > 서울 컴퓨터소프트웨어학부 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Park, Yong jun photo

Park, Yong jun
서울 공과대학 (서울 컴퓨터소프트웨어학부)
Read more

Altmetrics

Total Views & Downloads

BROWSE