Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

SIMD defragmenter: efficient ILP realization on data-parallel architectures

Full metadata record
DC Field Value Language
dc.contributor.authorPark, Yongjun-
dc.contributor.authorSeo, Sangwon-
dc.contributor.authorPark, Hyunchul-
dc.contributor.authorCho, Hyoun Kyu-
dc.contributor.authorMahlke, Scott-
dc.date.accessioned2022-07-16T15:55:03Z-
dc.date.available2022-07-16T15:55:03Z-
dc.date.created2021-05-13-
dc.date.issued2012-04-
dc.identifier.issn0362-1340-
dc.identifier.urihttps://scholarworks.bwise.kr/hanyang/handle/2021.sw.hanyang/165854-
dc.description.abstractSingle-instruction multiple-data (SIMD) accelerators provide an energy-efficient platform to scale the performance of mobile systems while still retaining post-programmability. The central challenge is translating the parallel resources of the SIMD hardware into real application performance. In scientific applications, automatic vectorization techniques have proven quite effective at extracting large levels of data-level parallelism (DLP). However, vectorization is often much less effective for media applications due to low trip count loops, complex control flow, and non-uniform execution behavior. As a result, SIMD lanes remain idle due to insufficient DLP. To attack this problem, this paper proposes a new vectorization pass called SIMD Defragmenter to uncover hidden DLP that lurks below the surface in the form of instruction-level parallelism (ILP). The difficulty is managing the data packing/unpacking overhead that can easily exceed the benefits gained through SIMD execution. The SIMD degragmenter overcomes this problem by identifying groups of compatible instructions (subgraphs) that can be executed in parallel across the SIMD lanes. By SIMDizing in bulk at the subgraph level, packing/unpacking overhead is minimized. On a 16-lane SIMD processor, experimental results show that SIMD defragmentation achieves a mean 1.6x speedup over traditional loop vectorization and a 31% gain over prior research approaches for converting ILP to DLP.-
dc.language영어-
dc.language.isoen-
dc.publisherSpecial Interest Group on Computer Graphics, Association for Computing Machinery-
dc.titleSIMD defragmenter: efficient ILP realization on data-parallel architectures-
dc.typeArticle-
dc.contributor.affiliatedAuthorPark, Yongjun-
dc.identifier.doi10.1145/2248487.2151014-
dc.identifier.wosid000209339300030-
dc.identifier.bibliographicCitationSIGPLAN Notices (ACM Special Interest Group on Programming Languages), v.47, no.4, pp.363 - 374-
dc.relation.isPartOfSIGPLAN Notices (ACM Special Interest Group on Programming Languages)-
dc.citation.titleSIGPLAN Notices (ACM Special Interest Group on Programming Languages)-
dc.citation.volume47-
dc.citation.number4-
dc.citation.startPage363-
dc.citation.endPage374-
dc.type.rimsART-
dc.type.docType정기학술지(Article(Perspective Article포함))-
dc.description.journalClass1-
dc.description.isOpenAccessN-
dc.description.journalRegisteredClassscie-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalWebOfScienceCategoryComputer Science, Software Engineering-
dc.subject.keywordAuthorAlgorithms-
dc.subject.keywordAuthorExperimentation-
dc.subject.keywordAuthorPerformance-
dc.subject.keywordAuthorCompiler-
dc.subject.keywordAuthorSIMD Architecture-
dc.subject.keywordAuthorOptimization-
dc.identifier.urlhttps://dl.acm.org/doi/10.1145/2248487.2151014-
Files in This Item
Go to Link
Appears in
Collections
서울 공과대학 > 서울 컴퓨터소프트웨어학부 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Park, Yong jun photo

Park, Yong jun
서울 공과대학 (서울 컴퓨터소프트웨어학부)
Read more

Altmetrics

Total Views & Downloads

BROWSE