Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

The impact of the residual stress on the EUV pellicle

Full metadata record
DC Field Value Language
dc.contributor.authorPark, Eun-Sang-
dc.contributor.authorChoi, Jae-Keun-
dc.contributor.authorKim, Min-Ha-
dc.contributor.authorHwang, Sollee-
dc.contributor.authorShamsi, Zahid Hussain-
dc.contributor.authorKim, Dai-Gyoung-
dc.contributor.authorOh, Hye-Keun-
dc.date.accessioned2021-06-22T18:21:37Z-
dc.date.available2021-06-22T18:21:37Z-
dc.date.created2021-01-22-
dc.date.issued2016-05-
dc.identifier.issn0277-786X-
dc.identifier.urihttps://scholarworks.bwise.kr/erica/handle/2021.sw.erica/15964-
dc.description.abstractHigh resolution patterning on the chip could be achieved by extreme ultraviolet lithography (EUVL). However, the defect on the mask becomes more important issue with very short wavelength (13.5 nm). Using the pellicle which could protect the mask from the defects can support high volume manufacturing (HVM). Most of the materials considered for pellicle have relatively high extinction coefficient in EUV region. Therefore, the thickness of the pellicle should be ∼ nm thin. The stress of the pellicle is dependent not only on the temperature but also on the mechanical properties of the pellicle. The stress induced by the gravity was small compared to the thermal stress. However, the residual stress should be also considered since it is dependent on the pellicle manufacturing environment and this stress is comparable with the thermal stress. Our result shows the importance of the lowering the pellicle fabrication temperature in terms of the extending the lifetime during the scanning process. © 2016 SPIE.-
dc.language영어-
dc.language.isoen-
dc.publisherSPIE-
dc.titleThe impact of the residual stress on the EUV pellicle-
dc.typeArticle-
dc.contributor.affiliatedAuthorKim, Dai-Gyoung-
dc.contributor.affiliatedAuthorOh, Hye-Keun-
dc.identifier.doi10.1117/12.2241276-
dc.identifier.scopusid2-s2.0-84989321826-
dc.identifier.bibliographicCitationProceedings of SPIE - The International Society for Optical Engineering, v.9984-
dc.relation.isPartOfProceedings of SPIE - The International Society for Optical Engineering-
dc.citation.titleProceedings of SPIE - The International Society for Optical Engineering-
dc.citation.volume9984-
dc.type.rimsART-
dc.type.docTypeConference Paper-
dc.description.journalClass1-
dc.description.isOpenAccessN-
dc.description.journalRegisteredClassscopus-
dc.subject.keywordPlusDefects-
dc.subject.keywordPlusExtreme ultraviolet lithography-
dc.subject.keywordPlusLithography-
dc.subject.keywordPlusManufacture-
dc.subject.keywordPlusPhotomasks-
dc.subject.keywordPlusResidual stresses-
dc.subject.keywordPlusThermal stress-
dc.subject.keywordPlusHigh extinction coefficients-
dc.subject.keywordPlusHigh volume manufacturing-
dc.subject.keywordPlusHigh-resolution patterning-
dc.subject.keywordPlusManufacturing environments-
dc.subject.keywordPlusmechanical-
dc.subject.keywordPluspellicle-
dc.subject.keywordPlusresidual-
dc.subject.keywordPlusthermal-
dc.subject.keywordPlusStresses-
dc.subject.keywordAuthorEUVL-
dc.subject.keywordAuthormechanical-
dc.subject.keywordAuthorpellicle-
dc.subject.keywordAuthorresidual-
dc.subject.keywordAuthorstress-
dc.subject.keywordAuthorthermal-
dc.identifier.urlhttps://www.spiedigitallibrary.org/conference-proceedings-of-spie/9984/1/The-impact-of-the-residual-stress-on-the-EUV-pellicle/10.1117/12.2241276.short-
Files in This Item
Go to Link
Appears in
Collections
COLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY > DEPARTMENT OF APPLIED PHYSICS > 1. Journal Articles
COLLEGE OF SCIENCE AND CONVERGENCE TECHNOLOGY > ERICA 수리데이터사이언스학과 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Kim, Dai-Gyoung photo

Kim, Dai-Gyoung
ERICA 과학기술융합대학 (ERICA 수리데이터사이언스학과)
Read more

Altmetrics

Total Views & Downloads

BROWSE