Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Time Division Multiplexing based Test Access for Stacked ICs

Full metadata record
DC Field Value Language
dc.contributor.authorMuhammad Adil Ansari-
dc.contributor.authorUmair Saeed Solnagi-
dc.contributor.authorJinuk Kim-
dc.contributor.authorAhsin Murtaza Bughio-
dc.contributor.authorSungju Park-
dc.date.accessioned2021-06-22T10:41:14Z-
dc.date.available2021-06-22T10:41:14Z-
dc.date.created2021-01-22-
dc.date.issued2019-02-
dc.identifier.issn1598-1657-
dc.identifier.urihttps://scholarworks.bwise.kr/erica/handle/2021.sw.erica/3942-
dc.description.abstractThe test cost and complexity of stacked ICs (SICs) are higher than those of 2D-ICs because an SIC is tested at more stages before shipping. The existing test access architectures and their optimization techniques for SICs underutilize the tester-channel frequency because the test data is shifted at low scan-shift frequency due to test power constrain. Moreover, the wafer-level test frequency is constrained by limited probe-pin to pad contact current; however, the package-level test can be performed at a higher frequency yet lower than the tester-channel frequency offered by the testers. Therefore, we present a time-multiplexed test access architecture for SICs that leverages the tester-channel frequency at both the wafer-level and package-level tests. Unlike exiting architectures, the proposed architecture does not require the knowledge of the number of dies to be stacked and the hierarchical tier of each die. The proposed architecture is discussed for SICs based on IEEE standards 1149.1 and 1500. The experimental results with a synthetic SIC, constructed with ITC’02 benchmark SoCs, show significant reduction in the test time. Furthermore, the analyses based on the test frequency limits and the number of stacked dies show that the proposed architecture scales well with increasing frequency limits and the number of stacked dies.-
dc.language영어-
dc.language.isoen-
dc.publisher대한전자공학회-
dc.titleTime Division Multiplexing based Test Access for Stacked ICs-
dc.typeArticle-
dc.contributor.affiliatedAuthorSungju Park-
dc.identifier.doi10.5573/JSTS.2019.19.1.087-
dc.identifier.scopusid2-s2.0-85063461331-
dc.identifier.wosid000465139300011-
dc.identifier.bibliographicCitationJOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.19, no.1, pp.87 - 96-
dc.relation.isPartOfJOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE-
dc.citation.titleJOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE-
dc.citation.volume19-
dc.citation.number1-
dc.citation.startPage87-
dc.citation.endPage96-
dc.type.rimsART-
dc.identifier.kciidART002437950-
dc.description.journalClass1-
dc.description.isOpenAccessN-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.description.journalRegisteredClasskci-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaPhysics-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.subject.keywordAuthor3D test access architecture-
dc.subject.keywordAuthordesign-for-testability-
dc.subject.keywordAuthorstacked-ICs-
dc.identifier.urlhttps://www.dbpia.co.kr/journal/articleDetail?nodeId=NODE07617315&language=ko_KR&hasTopBanner=true-
Files in This Item
Go to Link
Appears in
Collections
COLLEGE OF COMPUTING > SCHOOL OF COMPUTER SCIENCE > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE