Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Nanoparticle contamination control for EUVL-Technology - Especially for photomasks in carriers and scanners

Authors
Fissana, HeinzAsbacha, ChristofKuhlbusch, Thomas A.J.Wang, JingPuib, David Y.H.Yook, Se JinKim, Jung H.
Issue Date
May-2009
Publisher
SPIE
Keywords
Carrier; Contamination; EUVL; Nanoparticle contamination; Protection schemes; Scanner; Thermophoresis
Citation
Proceedings of SPIE - The International Society for Optical Engineering, v.7364
Indexed
SCOPUS
Journal Title
Proceedings of SPIE - The International Society for Optical Engineering
Volume
7364
URI
https://scholarworks.bwise.kr/hanyang/handle/2021.sw.hanyang/176801
DOI
10.1117/12.821654
ISSN
0277-786X
Abstract
Extreme Ultraviolet Lithography (EUVL) is a leading lithography technology for the sub-32 nm chip manufacturing technology. Photomasks, in a mask carrier or inside a vacuum scanner, need to be protected from contamination by nanoparticles larger than the minimum feature size expected from this technology. The most critical part with respect to contamination in the EUVL-system is the photomask. The protection is made more difficult because protective pellicles cannot be used, due to the attenuation of the EUV beam by the pellicle. We have defined a set of protection schemes to protect EUVL photomasks from particle contamination and developed models to describe their effectiveness at atmospheric pressure (e.g. in mask carriers) or during scanning operation at low pressure. These schemes include that the mask is maintained facing down to avoid gravitational settling and the establishment of a thermal gradient underneath the mask surface to thermophoretically repel particles. Experimental verification studies of the models were carried out in atmospheric-pressure carriers and in a vacuum system down to about 3.3 Pa. Particles with sizes between 60 (for experiments, isn't it 125 nm?) nm and 250 nm were injected into the vacuum chamber with controlled speed and concentration to validate the analytical and numerical models. It could be shown that a deterministic approach using free molecular expressions can be used to accurately describe particle deposition at these low pressure levels. Thermophoresis was found to be very effective at both atmospheric and low pressure against the diffusional particle deposition, whereas inertial particle deposition of large and/or fast particles can likely not be prevented. A review of the models and their verification will be presented in this paper.
Files in This Item
Go to Link
Appears in
Collections
서울 공과대학 > 서울 기계공학부 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Yook, Se Jin photo

Yook, Se Jin
COLLEGE OF ENGINEERING (SCHOOL OF MECHANICAL ENGINEERING)
Read more

Altmetrics

Total Views & Downloads

BROWSE