Detailed Information

Cited 0 time in webofscience Cited 3 time in scopus
Metadata Downloads

NVM-Shelf: Secure Hybrid Encryption with Less Flip for Non-Volatile Memoryopen access

Authors
Dadzie, Thomas HaywoodLee, JiwonKim, JihyeOh, Hyunok
Issue Date
Aug-2020
Publisher
MDPI
Keywords
main memory; physical security; encryption; bit flip; scratch pad memory
Citation
ELECTRONICS, v.9, no.8, pp.1 - 27
Indexed
SCIE
SCOPUS
Journal Title
ELECTRONICS
Volume
9
Number
8
Start Page
1
End Page
27
URI
https://scholarworks.bwise.kr/hanyang/handle/2021.sw.hanyang/32766
DOI
10.3390/electronics9081304
Abstract
The Non-Volatile Memory (NVM), such as PRAM or STT-MRAM, is often adopted as the main memory in portable embedded systems. The non-volatility triggers a security issue against physical attacks, which is a vulnerability caused by memory extraction and snapshots. However, simply encrypting the NVM degrades the performance of the memory (high energy consumption, short lifetime), since typical encryption causes an avalanche effect while most NVMs suffer from the memory-write operation. In this paper, we propose NVM-shelf: Secure Hybrid Encryption with Less Flip (shelf) for Non-Volatile Memory (NVM), which is hybrid encryption to reduce the flip penalty. The main idea is that a stream cipher, such as block cipher CTR mode, is flip-tolerant when the keystream is reused. By modifying the CTR mode in AES block cipher, we let the keystream updated in a short period and reuse the keystream to achieve flip reduction while maintaining security against physical attacks. Since the CTR mode requires additional storage for the nonce, we classify write-intensive cache blocks and apply our CTR mode to the write-intensive blocks and apply the ECB mode for the rest of the blocks. To extend the cache-based NVM-shelf implementation toward SPM-based systems, we also propose an efficient compiler for SA-SPM: Security-Aware Scratch Pad Memory, which ensures the security of main memories in SPM-based embedded systems. Our compiler is the first approach to support full encryption of memory regions (i.e., stack, heap, code, and static variables) in an SPM-based system. By integrating the NVM-shelf framework to the SA-SPM compiler, we obtain the NVM-shelf implementation for both cache-based and SPM-based systems. The cache-based experiment shows that the NVM-shelf achieves encryption flip penalty less than 3%, and the SPM-based experiment shows that the NVM-shelf reduces the flip penalty by 31.8% compared to the whole encryption.
Files in This Item
Appears in
Collections
서울 공과대학 > 서울 정보시스템학과 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Oh, Hyun Ok photo

Oh, Hyun Ok
COLLEGE OF ENGINEERING (DEPARTMENT OF INFORMATION SYSTEMS)
Read more

Altmetrics

Total Views & Downloads

BROWSE