Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Latency-Optimized Design of Data Bus Inversion

Authors
Pae, S.-I.Kwon, K.-W.
Issue Date
2-Apr-2022
Publisher
MDPI
Keywords
approximation; data bus inversion; latency; majority voter; power saving; switching activity
Citation
Electronics (Switzerland), v.11, no.8
Journal Title
Electronics (Switzerland)
Volume
11
Number
8
URI
https://scholarworks.bwise.kr/hongik/handle/2020.sw.hongik/27551
DOI
10.3390/electronics11081205
ISSN
2079-9292
2079-9292
Abstract
This paper proposes two new encoders for data bus inversion (DBI), which conventionally uses a majority voter to pick a data representation that minimizes switching activities and thus reduces the corresponding energy consumption. The new encoders employ simpler approximate voters comprising only two gate levels, which improve latency more than twice while still achieving switching activity savings by 9% and 11%, respectively. Although the proposed voters are not always accurate, the errors in the voters do not affect the correctness of data movement. We report various metrics, including latencies, areas, and operating powers, regarding five different designs, two proposed designs along with three conventional designs, based on 65-nm process implementations. © 2022 by the authors. Licensee MDPI, Basel, Switzerland.
Files in This Item
There are no files associated with this item.
Appears in
Collections
ETC > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Kwon, Kon-Woo photo

Kwon, Kon-Woo
Engineering (Department of Computer Engineering)
Read more

Altmetrics

Total Views & Downloads

BROWSE