Detailed Information

Cited 6 time in webofscience Cited 7 time in scopus
Metadata Downloads

Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience)

Full metadata record
DC Field Value Language
dc.contributor.authorCheng, Eric-
dc.contributor.authorMirkhani, Shahrzad-
dc.contributor.authorSzafaryn, Lukasz G.-
dc.contributor.authorCher, Chen-Yong-
dc.contributor.authorCho, Hyungmin-
dc.contributor.authorSkadron, Kevin-
dc.contributor.authorStan, Mircea R.-
dc.contributor.authorLilja, Klas-
dc.contributor.authorAbraham, Jacob A.-
dc.contributor.authorBose, Pradip-
dc.contributor.authorMitra, Subhasish-
dc.date.available2020-07-10T04:19:15Z-
dc.date.created2020-07-06-
dc.date.issued2018-09-
dc.identifier.issn0278-0070-
dc.identifier.urihttps://scholarworks.bwise.kr/hongik/handle/2020.sw.hongik/3262-
dc.description.abstractWe present cross-layer exploration for architecting resilience, a first of its kind framework which overcomes a major challenge in the design of digital systems that are resilient to reliability failures: achieve desired resilience targets at minimal costs (energy, power, execution time, and area) by combining resilience techniques across various layers of the system stack (circuit, logic, architecture, software, and algorithm). This is also referred to as cross-layer resilience. In this paper, we focus on radiation-induced soft errors in processor cores. We address both single-event upsets and single-event multiple upsets in terrestrial environments. Our framework automatically and systematically explores the large space of comprehensive resilience techniques and their combinations across various layers of the system stack (586 cross-layer combinations in this paper), derives cost-effective solutions that achieve resilience targets at minimal costs, and provides guidelines for the design of new resilience techniques. Our results demonstrate that a carefully optimized combination of circuit-level hardening, logic-level parity checking, and micro-architectural recovery provides a highly cost-effective soft error resilience solution for general-purpose processor cores. For example, a 50x improvement in silent data corruption (SDC) rate is achieved at only 2.1% energy cost for an out-of-order core (6.1% for an in-order core) with no speed impact. However, (application-aware) selective circuit-level hardening alone, guided by a thorough analysis of the effects of soft errors on application benchmarks, provides a cost-effective soft error resilience solution as well (with similar to 1% additional energy cost for a 50x improvement in SDC rate).-
dc.language영어-
dc.language.isoen-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectFAULT-TOLERANCE-
dc.subjectDESIGN-
dc.subjectPERFORMANCE-
dc.subjectHARDWARE-
dc.subjectIMPACT-
dc.titleTolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience)-
dc.typeArticle-
dc.contributor.affiliatedAuthorCho, Hyungmin-
dc.identifier.doi10.1109/TCAD.2017.2752705-
dc.identifier.scopusid2-s2.0-85030645524-
dc.identifier.wosid000442371100010-
dc.identifier.bibliographicCitationIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.37, no.9, pp.1839 - 1852-
dc.relation.isPartOfIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.citation.titleIEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS-
dc.citation.volume37-
dc.citation.number9-
dc.citation.startPage1839-
dc.citation.endPage1852-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalWebOfScienceCategoryComputer Science, Hardware & Architecture-
dc.relation.journalWebOfScienceCategoryComputer Science, Interdisciplinary Applications-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.subject.keywordPlusFAULT-TOLERANCE-
dc.subject.keywordPlusDESIGN-
dc.subject.keywordPlusPERFORMANCE-
dc.subject.keywordPlusHARDWARE-
dc.subject.keywordPlusIMPACT-
dc.subject.keywordAuthorCross-layer resilience-
dc.subject.keywordAuthorsoft errors-
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > Computer Engineering Major > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE