Detailed Information

Cited 6 time in webofscience Cited 7 time in scopus
Metadata Downloads

Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience)

Authors
Cheng, EricMirkhani, ShahrzadSzafaryn, Lukasz G.Cher, Chen-YongCho, HyungminSkadron, KevinStan, Mircea R.Lilja, KlasAbraham, Jacob A.Bose, PradipMitra, Subhasish
Issue Date
Sep-2018
Publisher
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
Keywords
Cross-layer resilience; soft errors
Citation
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, v.37, no.9, pp.1839 - 1852
Journal Title
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
Volume
37
Number
9
Start Page
1839
End Page
1852
URI
https://scholarworks.bwise.kr/hongik/handle/2020.sw.hongik/3262
DOI
10.1109/TCAD.2017.2752705
ISSN
0278-0070
Abstract
We present cross-layer exploration for architecting resilience, a first of its kind framework which overcomes a major challenge in the design of digital systems that are resilient to reliability failures: achieve desired resilience targets at minimal costs (energy, power, execution time, and area) by combining resilience techniques across various layers of the system stack (circuit, logic, architecture, software, and algorithm). This is also referred to as cross-layer resilience. In this paper, we focus on radiation-induced soft errors in processor cores. We address both single-event upsets and single-event multiple upsets in terrestrial environments. Our framework automatically and systematically explores the large space of comprehensive resilience techniques and their combinations across various layers of the system stack (586 cross-layer combinations in this paper), derives cost-effective solutions that achieve resilience targets at minimal costs, and provides guidelines for the design of new resilience techniques. Our results demonstrate that a carefully optimized combination of circuit-level hardening, logic-level parity checking, and micro-architectural recovery provides a highly cost-effective soft error resilience solution for general-purpose processor cores. For example, a 50x improvement in silent data corruption (SDC) rate is achieved at only 2.1% energy cost for an out-of-order core (6.1% for an in-order core) with no speed impact. However, (application-aware) selective circuit-level hardening alone, guided by a thorough analysis of the effects of soft errors on application benchmarks, provides a cost-effective soft error resilience solution as well (with similar to 1% additional energy cost for a 50x improvement in SDC rate).
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > Computer Engineering Major > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE