Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Charge-Domain Static Random Access Memory-Based In-Memory Computing with Low-Cost Multiply-and-Accumulate Operation and Energy-Efficient 7-Bit Hybrid Analog-to-Digital Converter

Full metadata record
DC Field Value Language
dc.contributor.authorLee, Sanghyun-
dc.contributor.authorKim, Youngmin-
dc.date.accessioned2024-02-27T02:00:19Z-
dc.date.available2024-02-27T02:00:19Z-
dc.date.issued2024-02-
dc.identifier.issn2079-9292-
dc.identifier.issn2079-9292-
dc.identifier.urihttps://scholarworks.bwise.kr/hongik/handle/2020.sw.hongik/32688-
dc.description.abstractThis study presents a charge-domain SRAM-based in-memory computing (IMC) architecture. The multiply-and-accumulate (MAC) operation in the IMC structure is divided into current- and charge-domain methods. Current-domain IMC has high-power consumption and poor linearity. Charge-domain IMC has reduced variability compared with current-domain IMCs, achieving higher linearity and enabling energy-efficient operation with fewer dynamic current paths. The proposed IMC structure uses a 9T1C bitcell considering the trade-off between the bitcell area and the threshold voltage drop by an NMOS access transistor. We propose an energy-efficient summation mechanism for 4-bit weight rows to perform energy-efficient MAC operations. The generated MAC value is finally returned as a digital value through an analog-to-digital converter (ADC), whose performance is one of the critical components in the overall system. The proposed flash-successive approximation register (SAR) ADC is designed by combining the advantages of flash ADC and SAR ADC and outputs digital values at approximately half the cycle of SAR ADC. The proposed charge-domain IMC is designed and simulated in a 65 nm CMOS process. It achieves 102.4 GOPS throughput and 33.6 TOPS/W energy efficiency at array size of 1 Kb.-
dc.language영어-
dc.language.isoENG-
dc.publisherMDPI-
dc.titleCharge-Domain Static Random Access Memory-Based In-Memory Computing with Low-Cost Multiply-and-Accumulate Operation and Energy-Efficient 7-Bit Hybrid Analog-to-Digital Converter-
dc.typeArticle-
dc.publisher.location스위스-
dc.identifier.doi10.3390/electronics13030666-
dc.identifier.scopusid2-s2.0-85184685716-
dc.identifier.wosid001160469500001-
dc.identifier.bibliographicCitationELECTRONICS, v.13, no.3-
dc.citation.titleELECTRONICS-
dc.citation.volume13-
dc.citation.number3-
dc.type.docTypeArticle-
dc.description.isOpenAccessY-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaPhysics-
dc.relation.journalWebOfScienceCategoryComputer Science, Information Systems-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.subject.keywordPlusSRAM MACRO-
dc.subject.keywordPlusCOMPUTATION-
dc.subject.keywordPlusBINARY-
dc.subject.keywordPlusINPUT-
dc.subject.keywordAuthorin-memory computing-
dc.subject.keywordAuthorstatic random access memory-
dc.subject.keywordAuthorcharge-domain SRAM-IMC-
dc.subject.keywordAuthorflash-SAR ADC-
dc.subject.keywordAuthordeep neural network-
Files in This Item
There are no files associated with this item.
Appears in
Collections
ETC > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Kim, Young min photo

Kim, Young min
Engineering (Electronic & Electrical Engineering)
Read more

Altmetrics

Total Views & Downloads

BROWSE