Detailed Information

Cited 5 time in webofscience Cited 0 time in scopus
Metadata Downloads

Fine-Grained Power Gating Using an MRAM-CMOS Non-Volatile Flip-Flop

Authors
Park, JaeyoungYim, Young Uk
Issue Date
Jun-2019
Publisher
MDPI
Keywords
STT-MRAM; flip-flop; power gating; low-power
Citation
MICROMACHINES, v.10, no.6
Journal Title
MICROMACHINES
Volume
10
Number
6
URI
http://scholarworks.bwise.kr/ssu/handle/2018.sw.ssu/39965
DOI
10.3390/mi10060411
ISSN
2072-666X
Abstract
An area-efficient non-volatile flip flop (NVFF) is proposed. Two minimum-sized Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) and two magnetic tunnel junction (MTJ) devices are added on top of a conventional D flip-flop for temporary storage during the power-down. An area overhead of the temporary storage is minimized by reusing a part of the D flip-flop and an energy overhead is reduced by a current-reuse technique. In addition, two optimization strategies of the use of the proposed NVFF are proposed: (1) A module-based placement in a design phase for minimizing the area overhead; and (2) a dynamic write pulse modulation at runtime for reducing the energy overhead. We evaluated the proposed NVFF circuit using a compact MTJ model targeting an implementation in a 10 nm technology node. Results indicate that area overhead is 6.9% normalized to the conventional flip flop. Compared to the best previously known NVFFs, the proposed circuit succeeded in reducing the area by 4.1x and the energy by 1.5x. The proposed placement strategy of the NVFF shows an improvement of nearly a factor of 2-18 in terms of area and energy, and the pulse duration modulation provides a further energy reduction depending on fault tolerance of programs.
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Information Technology > ETC > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE