Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Monolithic 3D-Based SRAM/MRAM Hybrid Memory for an Energy-Efficient Unified L2 TLB-Cache Architectureopen access

Authors
Gong, Young-Ho
Issue Date
Feb-2021
Publisher
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
Keywords
Three-dimensional displays; Random access memory; Through-silicon vias; Memory management; Transistors; Stacking; Two dimensional displays; Monolithic 3D; cache memory; translation look-aside buffer; SRAM; MRAM; energy efficiency
Citation
IEEE ACCESS, v.9, pp.18915 - 18926
Journal Title
IEEE ACCESS
Volume
9
Start Page
18915
End Page
18926
URI
http://scholarworks.bwise.kr/ssu/handle/2018.sw.ssu/43440
DOI
10.1109/ACCESS.2021.3054021
ISSN
2169-3536
Abstract
Monolithic 3D (M3D) integration has been emerged as a promising technology for fine-grained 3D stacking. As the M3D integration offers extremely small dimension of via in a nanometer-scale, it is beneficial for small microarchitectural blocks such as caches, register files, translation look-aside buffers (TLBs), etc. However, since the M3D integration requires low-temperature process for stacked layers, it causes lower performance for stacked transistors compared to the conventional 2D process. In contrast, non-volatile memory (NVM) such as magnetic RAM (MRAM) is originally fabricated at a low temperature, which enables the M3D integration without performance degradation. In this paper, we propose an energy-efficient unified L2 TLB-cache architecture exploiting M3D-based SRAM/MRAM hybrid memory. Since the M3D-based SRAM/MRAM hybrid memory consumes much smaller energy than the conventional 2D SRAM-only memory and 2D SRAM/MRAM hybrid memory, while providing comparable performance, our proposed architecture improves energy efficiency significantly. Especially, as our proposed architecture changes the memory partitioning of the unified L2 TLB-cache depending on the L2 cache miss rate, it maximizes the energy efficiency for parallel workloads suffering extremely high L2 cache miss rate. According to our analysis using PARSEC benchmark applications, our proposed architecture reduces the energy consumption of L2 TLB + L2 cache by up to 97.7% (53.6% on average), compared to the baseline with the 2D SRAM-only memory, with negligible impact on performance. Furthermore, our proposed technique reduces the memory access energy consumption by up to 32.8% (10.9% on average), by reducing memory accesses due to TLB misses.
Files in This Item
Go to Link
Appears in
Collections
College of Information Technology > School of Software > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Gong, Young-Ho photo

Gong, Young-Ho
College of Information Technology (School of Software)
Read more

Altmetrics

Total Views & Downloads

BROWSE