Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes

Authors
Han, KyunseungLee, SukhoOh, Kwang-IlBae, YounghwanJang, HyeongukLee, Jae-JinLee, WoojooPedram, Massoud
Issue Date
15-Mar-2021
Publisher
Institute of Electrical and Electronics Engineers Inc.
Keywords
Design automation; Internet-of-Things (IoT) device; low power; RISC-V; System on Chip (SoC)
Citation
IEEE Internet of Things Journal, v.8, no.6, pp 4642 - 4656
Pages
15
Journal Title
IEEE Internet of Things Journal
Volume
8
Number
6
Start Page
4642
End Page
4656
URI
https://scholarworks.bwise.kr/cau/handle/2019.sw.cau/62524
DOI
10.1109/JIOT.2020.3027479
ISSN
2327-4662
Abstract
Ranging from circuit-level characterization to designing a platform architecture, developing a design automation tool, and fabricating a System on Chip (SoC), this article deals with the entire development process for ultralow-power (ULP) SoCs for Internet-of-Things (IoT) end nodes. More precisely, this article first focuses on the unique characteristics of the ULP circuits, the temperature effect inversion (TEI), i.e., the delay of the ULP circuits decreases with increasing temperature. Existing TEI-Aware low-power (TEI-LP) techniques have incredible potential to further reduce the power consumption of conventional ULP SoCs, but there is a critical limitation to be widely adopted in real SoCs. To address this limitation and realize the ULP SoCs that can fully benefit from the TEI-LP techniques, this article proposes a new TEI-inspired SoC platform (TIP) architecture. On top of that, taking into account that the highly complex, time consuming, and labor-intensive development process of these ULP SoCs may hinder their widespread use for IoT end nodes, this article presents a new electronic design automation tool to accelerate ULP SoC development, RISC-V express (RVX). Finally, by using the RVX, this article introduces a TIP prototyping chip fabricated in 28-nm FD-SOI technology. This chip demonstrates that power savings of up to 35% can be achieved by lowering the supply voltage from 0.54 to 0.48 V at 25 °C and 0.44 V at 80 °C while continuing to operate at a target 50-MHz clock frequency. © 2014 IEEE.
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of ICT Engineering > School of Electrical and Electronics Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Lee, Woo Joo photo

Lee, Woo Joo
창의ICT공과대학 (전자전기공학부)
Read more

Altmetrics

Total Views & Downloads

BROWSE