Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Surface Transformation of Spin-on-Carbon Film via Forming Carbon Iron Complex for Remarkably Enhanced Polishing Rateopen access

Authors
Lee, Jun-MyeongLee, Jong-ChanKim, Seong-InLee, Seung-JaeBae, Jae-YungPark, Jin-HyungPark, Jea-Gun
Issue Date
Mar-2022
Publisher
MDPI
Keywords
chemical-mechanical planarization; spin-on-carbon (SOC); hard-mask; C-C bond breakage; ferric catalyst
Citation
NANOMATERIALS, v.12, no.6, pp.1 - 13
Indexed
SCIE
SCOPUS
Journal Title
NANOMATERIALS
Volume
12
Number
6
Start Page
1
End Page
13
URI
https://scholarworks.bwise.kr/hanyang/handle/2021.sw.hanyang/139303
DOI
10.3390/nano12060969
Abstract
To scale down semiconductor devices to a size less than the design rule of 10 nm, lithography using a carbon polymer hard-mask was applied, e.g., spin-on-carbon (SOC) film. Spin coating of the SOC film produces a high surface topography induced by pattern density, requiring chemical– mechanical planarization (CMP) for removing such high surface topography. To achieve a relatively high polishing rate of the SOC film surface, the CMP principally requires a carbon–carbon (C-C) bond breakage on the SOC film surface. A new design of CMP slurry evidently accomplished C-C bond breakage via transformation from a hard surface with strong C-C covalent bonds into a soft surface with a metal carbon complex (i.e., C=Fe=C bonds) during CMP, resulting in a remarkable increase in the rate of the SOC film surface transformation with an increase in ferric catalyst concentration. However, this surface transformation on the SOC film surface resulted in a noticeable increase in the absorption degree (i.e., hydrophilicity) of the SOC film CMP slurry on the polished SOC film surface during CMP. The polishing rate of the SOC film surface decreased notably with increasing ferric catalyst concentration. Therefore, the maximum polishing rate of the SOC film surface (i.e., 272.3 nm/min) could be achieved with a specific ferric catalyst concentration (0.05 wt%), which was around seven times higher than the me-chanical-only CMP.
Files in This Item
Appears in
Collections
서울 공과대학 > 서울 융합전자공학부 > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Park, Jea  Gun photo

Park, Jea Gun
COLLEGE OF ENGINEERING (SCHOOL OF ELECTRONIC ENGINEERING)
Read more

Altmetrics

Total Views & Downloads

BROWSE