Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Efficient Pre-Bond Testing of TSV Defects Based on IEEE std. 1500 Wrapper Cells

Authors
Jung, JihunAnsari, Muhammad AdilKim, DooyoungPark, Sungju
Issue Date
Apr-2016
Publisher
IEEK PUBLICATION CENTER
Keywords
TSV defect; IEEE std. 1500 wrapper cell; load capacitance; delay test; pre-bond test
Citation
JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.16, no.2, pp.226 - 235
Indexed
SCIE
SCOPUS
KCI
Journal Title
JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE
Volume
16
Number
2
Start Page
226
End Page
235
URI
https://scholarworks.bwise.kr/erica/handle/2021.sw.erica/14107
DOI
10.5573/JSTS.2016.16.2.226
ISSN
1598-1657
Abstract
The yield of 3D stacked IC manufacturing improves with the pre-bond integrity testing of through silicon vias (TSVs). In this paper, an efficient pre-bond test method is presented based on IEEE std. 1500, which can precisely diagnose any happening of TSV defects. The IEEE std. 1500 wrapper cells are augmented for the proposed method. The pre-bond TSV test can be performed by adjusting the driving strength of TSV drivers and the test clock frequency. The experimental results show the advantages of the proposed approach.
Files in This Item
Go to Link
Appears in
Collections
COLLEGE OF COMPUTING > SCHOOL OF COMPUTER SCIENCE > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE